Skip to main content
eScholarship
Open Access Publications from the University of California

UC Berkeley

UC Berkeley Electronic Theses and Dissertations bannerUC Berkeley

Mask Roughness Induced LER in EUV Lithography

Abstract

This dissertation presents a thorough investigation of how mask roughness induces speckle in the aerial image that leads to line-edge roughness (LER) effects in extreme ultraviolet lithography. As next generation lithography techniques such as extreme-ultraviolet lithography (EUVL) push to ever smaller critical dimensions, achieving the stringent requirements for line-edge and -width roughness (LER/LWR) is increasingly challenging. For this reason, discovering the principle causes leading to LER demands immediate attention.

Until recently, LER has been considered a resist-limited effect. Now, however, it is better understood that system-level effects can greatly influence LER. Thus, in order to achieve adequately low LER levels for next generation projection lithography, we must understand not only the basic material properties of the resist, but also how resist effects and mask effects can each contribute to the LER that is ultimately printed. Much research has already been conducted to fully characterize photo-resists available to next generation lithography methods. Other studies have documented how the LER on the mask couples to the printed LER. The issue of mask roughness induced LER is one that has up to this point been neglected by the industry and requires attention from the International Roadmap of Semiconductors (ITRS) in its specifications tables for the successful manufacture of transistor devices in future nodes by EUVL. Specifically, the extent to which system-level effects such as mask surface roughness, defocus, and illumination conditions are currently factoring into LER limits and how to distinguish mask effect from resist effect in practice. Potential levels for mask roughness induced LER contribution are presented for realistic mask surface roughnesses, providing a strong motivation for this work. To be precise, for the 22nm half pitch nodes, the mask roughness induced LER can consume the entire LER budget for an ideal mask roughnesses at -100nm defocus.

This thesis focuses on characterizing requirements on mask surface roughness specifications from an LER budget perspective in future nodes where EUVL will likely be employed, and devising simplified models based on 2D thin mask modeling for mask roughness induced LER prediction. A 2D height map of mask surface roughness itself is characterized by mainly two statistical parameters: the first being replicated surface roughness (RSR), which describes the height deviations of roughness on the top surface of the mask, and the second being the correlation length, which is a measure of the lateral surface roughness. The corresponding phase map is constructed by a simple transformation of OPD into phase space with an additional factor of 2 for EUVL reflective mode. The simplified model is then constructed using thin mask modeling of that clearfield rough mask to calculate the resulting speckle statistics, which are an intensity perturbation, and uses fast 1D modeling of the image-log-slope (ILS) to map that intensity perturbation to line-edge movement (LER). This is then verified against fully simulated LER, also in the thin mask approximation. The power of this simplified model lies in the simple physicality of the formulation, elucidating the principle causes of mask roughness induced LER: poor speckle, and bad imaging quality (ILS). The formulation also provides a significant speed enhancement by removing modeling redundancy of multiple feature types on top of the same surface roughness characterizations: only one clearfield speckle simulation is needed for the speckle statistics, which can then be mapped to any feature size through the ILS, and be used by the simplified equation to predict the mask roughness induced LER.

The second simplified model is based on a geometric argument of mask slope error, for special cases of mask surface roughness that are globally smooth. In this regime, the mask roughness induced LER collapses to a single value trend across all illumination partial coherence values- hence a geometric regime. Recognizing this fact, the further simplification employs the point spread function (PSF) of the optical system assuming full incoherence, convolved with the rough mask object, to give a simple image of the mask from the wafer plane. Taking the slope of that image and propagating through focus, the mask roughness induced LER contribution can be readily predicted for this geometric limit, and provides an even further speed enhancement to modeling by reducing the redundancy of illumination partial coherence type.

Beyond developing simplified models, characterizations of problematic aberrations are made extensively for the 22nm and 16nm half-pitch nodes. This is firstly done through random distributions across the principle Zernike aberrations (Fringe Zernikes 5-16) to determine the overall acceptable level of aberrations in the system of 0.25nm rms from a mask roughness induced LER perspective. A complete aberration sensitivity matrix is then constructed to identify individual Zernikes that are problematic, specific to the node, source shape, and optical system.

In addition, mask roughness induced LER mitigation strategies utilizing an alternative source shape called `strip' illumination, or, extended dipole, is explored. By using the physicality of the simplified model's equation, we recognize that by increasing incoherence by extending a traditional dipole laterally should help mitigate the speckle, while at the same time, confined coherence orthogonal to the lines and spaces should maintain good imaging quality through the ILS. Thus, overall, the simplified model would predict a reduction in mask roughness induced LER. We compare these results to other illumination candidates specifically for the 22nm node and find that overall, the mask roughness induced LER does benefit minimally for about 0.2nm LER for 100nm defocus conditions, but there lies a significant potential benefit in throughput in comparison to traditional dipole illuminations if one is employing limiting source shapes to manufacture the partial coherence.

Lastly, this work studies potential mask roughness induced LER effects introduced by mask cleaning strategies employed for EUVL. We look at effects on lithographic performance of lines and spaces, LER, and contacts based on a repetitive cleaning process and monitor that performance through number of cleans. Overall, we find that the cleaning process does not introduce significant deterioration of the cleaned mask in comparison to a reference mask, up through a 33× cleaning cycle. Since EUVL expects to employ cleans through 22× over the typical lifetime of a mask, the 33× good performance confirms the viability of the cleaning process within a safe margin.

Main Content
For improved accessibility of PDF content, download the file to your device.
Current View